Sunday 18 January 2009

Pseudo-Random Number Generator with pseudo-random delays.

One of my more recent assembly hack-togethers. Here I created an 8 bit pseudo-random number generator, with pseudo-random delays implementing a software linear feedback shift register. (The code has also been ported over to a 16F887 [video]).

No comments:

Post a Comment